當前位置:首頁 » 服務存儲 » 信號發生器存儲波形
擴展閱讀
webinf下怎麼引入js 2023-08-31 21:54:13
堡壘機怎麼打開web 2023-08-31 21:54:11

信號發生器存儲波形

發布時間: 2022-08-18 04:56:24

1. 數字波形信號發生器的國內外現狀,意義以及研究前景

波形發生器是能夠產生大量的標准信號和用戶定義信號,並保證高精度、高穩定性、可重復性和易操作性的電子儀器。波形發生器具有連續的相位變換、和頻率穩定性等優點,不僅可以模擬各種復雜信號,還可對頻率、幅值、相移、波形進行動態、及時的控制,並能夠與其它儀器進行通訊,組成自動測試系統,因此被廣泛用於自動控制系統、震動激勵、通訊和儀器儀表領域。
近幾年來,國際上波形發生器技術發展主要體現在以下幾個方面:
(1)過去由於頻率很低應用的范圍比較狹小,輸出波形頻率的提高,使得波形發生器能應用於越來越廣的領域。波形發生器軟體的開發正使任意波形的輸入變得更加方便和容易。波形發生器通常允許用一系列的點、直線和固定的函數段把波形數據存入存儲器。各種計算機語言的飛速發展也推動了波形發生器軟體技術的發展。目前可以利用可視化編程語言(如Visual Basic Visual C等等)編寫波形發生器的軟面板,這樣允許徒手從計算機顯示屏上輸入任意波形,來實現波形的輸入。
(2)隨著信息技術蓬勃發展,台式儀器在走了一段下坡路之後,有在繁榮起來。不過現在的新的台式儀器的形態,和幾年前的已有很大的不同。這些新一代台式儀器具有多種特性,可以執行多種功能。

2. 函數信號發生器可以產生哪些波形

正弦波,三角波,方波,方波可以有占空比調節。

3. 為什麼拿示波器測信號發生器發現波形都是三角波

也有可能是示波器的存儲深度不足,方波變成三角波了。。

可以調節下示波器的存儲深度

4. 如何用U盤使信號發生器產生波形

能否使用U盤使信號發生器產生波形要看信號發生器支不支持讀取U盤外建波形,現在大部分信號發生器具有這個功能,不過對於波形的格式或者是點數有要求。一般儀器廠商會給信號發生器配有上位機軟體或者是波形編輯軟體,按照軟體的格式編輯好波形,直接下發或者是保存到U盤中然後用信號發生器讀取。

以鼎陽的為例,通過上位機軟體可以自建波形,把建好的波形保存到U盤就好了

5. AW100的任意信號發生器的工作原理是什麼

講講大致工作原理
1、對上位機繪制的、或函數產生、或可編程諧波疊加的波形,在上位機完成離散化過程之後,將數據下傳至信號發生器。信號發生器將波形數據變為PWM的占空比,輸出對應PWM波形。
PWM波形經過積分器,濾除載波,剩下調制信號,就是上位機編輯的信號。
2、積分器可以選通或關閉,關閉積分器時,可以非常方便的模擬各種變頻器的PWM波形。
3、信號發生器中預先存儲一些典型信號的波形數據,脫離上位機亦能工作。

6. 基於單片機的信號發生器波形是怎麼產生的

狀態機方法,可以輸出任意時序,最近在做cpu,給你段cpu的時鍾發生器的程序。
部分程序,表達意思。
always @(negedge clk)
begin
case(state)
S1:
begin
clk2 <= ~clk2;
alu_clk <= ~alu_clk;
state <= S2;
end
S2:
begin
clk2 <= ~clk2;
clk3 <= ~clk3;
alu_clk <= ~alu_clk;
state <= S3;
fetch <= ~fetch;
end
S3:
begin
clk2 <= ~clk2;
state <= S4;

end
S4:
begin
clk2 <= ~clk2;
clk3 <= ~clk3;

state <= S5;
end
。。。。
default:
state <= idle;
endcase
end
endmole

7. 怎麼選擇信號發生器

信號發生器選擇的八個要素
1.采樣速率

采樣率通常用每秒百萬樣點或每秒千兆樣點表示,指明了儀器可以運行的最大時鍾速率或采樣率。采樣率影響著主要輸出信號的頻率。一般來說,您應該選擇采樣頻率是生成的信號最高頻譜頻率成分兩倍的儀器,以保證准確地復現信號。最大采樣率還決定著可以用來創建波形的最小時間增量。
2.內存深度(存儲長度)
內存深度或記錄長度在信號保真度中發揮著重要作用,因為它決定著可以存儲多少個數據點來定義一個波形。內存越深,存儲的波形細節更多,存儲所需波形的周期數越高。
3.垂直解析度
垂直解析度與儀器DAC的二進制字長度有關,用位數表示,位數越多,解析度越高。DAC的垂直解析度決定著復現的波形的幅度精度和失真。盡管越高越好,但大多數任意波形儀器都會有一個整體折衷,因為解析度越高,采樣率越低。
4.特點和功能
在選擇信號發生器時,您應該評估標准波形、調制功能、輸出幅度和波形編輯軟體,確保儀器滿足您的需求。
5.滿足應用所需的信號類型和功能

從應用角度來看,如果用於數字信號測試,矢量信號源更適合;如果主要用於基礎電路實驗和普通電器修理,則普通函數發生器更合適;如果主要用於調測對講機靈敏度,就需要高頻信號發生器。
6.滿足應用所需的頻率范圍和輸出幅度范圍
信號發生器的頻率覆蓋范圍和調制模式以及信號輸出幅度要滿足應用的需要。
7.價格在預算之內
高中檔的信號發生器都屬於高價值儀器,高檔的信號發生器性能卓越,使用也順手,但如果沒有足夠預算,還可以考慮以租代買。高端儀器除了性能指標有保障外,在一定程度上也為你撐場面,增加懂行客戶對你提供測試結果的信任度,代表測試機構的實力。
8.靠譜的售後保障
除了儀器之外,購買高價值儀器售後服務和維修保障也很重要,有的產品包含不同年限的保修報價是不一樣的,購買時不能只貪圖便宜。維修期間,能提供替代儀器而不影響維修期間的使用,也很重要。

8. 設計並製作一個信號發生器要能產生正弦波周期性波形還能顯示當前頻率值

波形發生器是一種常用的信號源,廣泛地應用於電子電路、自動控制系統和教學實驗等領域。本次課程設計使用的AT89S51 單片機構成的發生器可產生鋸齒波、三角波、正弦波等多種波形,波形的周期可以用程序改變,並可根據需要選擇單極性輸出或雙極性輸出,具有線路簡單、結構緊湊等優點。在本設計的基礎上,加上按鈕控制和LED顯示器,則可通過按鈕設定所需要的波形頻率,並在LED上顯示頻率、幅值電壓,波形可用示波器顯示。

二、系統設計

波形發生器原理方框圖如下所示。波形的產生是通過AT89S51 執行某一波形發生程序,向D/A轉換器的輸入端按一定的規律發生數據,從而在D/A轉換電路的輸出端得到相應的電壓波形。在AT89S51的P2口接5個按扭,通過軟體編程來選擇各種波形、幅值電壓和頻率,另有3個P2口管腳接TEC6122晶元,以驅動數碼管顯示電壓幅值和頻率,每種波形對應一個按鈕。此方案的有點是電路原理比較簡單,實現起來比較容易。缺點是,采樣頻率由單片機內部產生故使整個系統的頻率降低。

1、波形發生器技術指標

1)波形:方波、正弦波、鋸齒波;

2)幅值電壓:1V、2V、3V、4V、5V;

3)頻率:10HZ、20HZ、50HZ、100HZ、200HZ、500HZ、1KHZ;

2、操作設計

1)上電後,系統初始化,數碼顯示6個『-』,等待輸入設置命令。

2)按鈕分別控制「幅值」、「頻率」、「方波」、「正弦波」、「鋸齒波」。

3)「幅值「鍵初始值是1V,隨後再次按下依次增長1V,到達5V後在按就回到1V。

4)「頻率「鍵初始值是10HZ,隨後在按下依次為20HZ、50HZ、100HZ、200HZ、500HZ、1000HZ循環。

三、硬體設計

本系統由單片機、顯示介面電路,波形轉換(D/A)電路和電源等四部分構成。電路圖2附在後

1、單片機電路

功能:形成掃描碼,鍵值識別、鍵處理、參數設置;形成顯示段碼;產生定時中斷;形成波形的數字編碼,並輸出到D/A介面電路和顯示驅動電路。

AT89S51外接12M晶振作為時鍾頻率。並採用電源復位設計。復位電路採用上電復位,它的工作原理是,通電時,電容兩端相當於短路,於是RST引腳上為高電平,然後電源通過對電容充電。RST端電壓慢慢下降,降到一定程序,即為低電平,單片機開始工作。

AT89S51的P2口作為功能按鈕和TEC6122的介面。P1口做為D/A轉換晶元0832的介面。用定時/計數器作為中斷源。不同的頻率值對應不同的定時初值,允許定時器溢出中斷。定時器中斷的特殊功能寄存器設置如下:

定時控制寄存器TCON=20H;

工作方式選擇寄存器TMOD=01H;

中斷允許控制寄存器IE=82H。

2、顯示電路

功能:驅動6位數碼管顯示,掃描按鈕。

由集成驅動晶元TEC6122、6位共陰極數碼管和5個按鈕組成。當某一按鈕按下時,掃描程序掃描到之後,通過P2口將數字信號發送到 TEC6122晶元。TEC6122是一款數字集成晶元。它的外接電壓也是+5V,並且由於數碼管的載壓較小,為了保護數碼管,必須在兩者間接電阻,大約是560歐。

掃描利用軟體程序實現,當某一按鍵按下時,掃描程序立即檢測到,隨後調用子程序,執行相應的功能。

3、D/A電路

功能:將波形樣值的編碼轉換成模擬值,完成雙極性的波形輸出。

由一片0832和兩塊LM358運放組成。DAC0832是一個具有兩個輸入數據寄存器的8位DAC。目前生產的DAC晶元分為兩類,一類晶元內部設置有數據寄存器,不需要外加電路就可以直接與微型計算機介面。另一類晶元內部沒有數據寄存器,輸出信號隨數據輸入線的狀態變化而變化,因此不能直接與微型計算機介面,必須通過並行介面與微型計算機介面。DAC0832是具有20條引線的雙列直插式CMOS器件,它內部具有兩級數據寄存器,完成8位電流D/A轉換,故不需要外加電路。0832是電流輸出型,示波器上顯示波形,通常需要電壓信號,電流信號到電壓信號的轉換可以由運算放大器LM358實現,用兩片LM358可以實現雙極性輸出。

單片機向0832發送數字編碼,產生不同的輸出。先利用采樣定理對各波形進行抽樣,然後把各采樣值進行編碼,的到的數字量存入各個波形表,執行程序時通過查表方法依次取出,經過D/A轉換後輸出就可以得到波形。假如N個點構成波形的一個周期,則0832輸出N個樣值點後,樣值點形成運動軌跡,即一個周期。重復輸出N個點,成為第二個周期。利用單片機的晶振控制輸出周期的速度,也就是控制了輸出的波形的頻率。這樣就控制了輸出的波形及其幅值和頻率。

四、 軟體設計

主程序和子程序都存放在AT89S51單片機中。

主程序的功能是:開機以後負責查鍵,即做鍵盤掃描及顯示工作,然後根據用戶所按的鍵轉到相應的子程序進行處理,主程序框圖如圖1所示。

子程序的功能有:幅值輸入處理、頻率輸入處理、正弦波輸出、鋸齒波輸出、方波輸出、顯示等。

下面是程序

include <reg51.h>

#define uchar unsigned char

#define uint unsigned int

sbit LCP=P2^2;

sbit SCP=P2^1;

sbit SI=P2^0;

sbit S1=P2^3;

sbit S2=P2^4;

sbit S3=P2^5;

sbit S4=P2^6;

sbit S5=P2^7;

sbit DA0832=P3^3;

sbit DA0832_ON=P3^2;

uchar fun=0,b=0,c=0,d=0,tl,th;

uchar code tab[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};

uchar code tosin[256]={0x80,0x83,0x86,0x89,0x8d,0x90,0x93,0x96,0x99,0x9c,0x9f,0xa2,0xa5,0xa8,0xab,0xae,0xb1,0xb4,0xb7,0xba,0xbc,0xbf,0xc2,0xc5

,0xc7,0xca,0xcc,0xcf,0xd1,0xd4,0xd6,0xd8,0xda,0xdd,0xdf,0xe1,0xe3,0xe5,0xe7,0xe9,0xea,0xec,0xee,0xef,0xf1,0xf2,0xf4,0xf5

,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfd,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfd

,0xfd,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,0xf6,0xf5,0xf4,0xf2,0xf1,0xef,0xee,0xec,0xea,0xe9,0xe7,0xe5,0xe3,0xe1,0xde,0xdd,0xda

,0xd8,0xd6,0xd4,0xd1,0xcf,0xcc,0xca,0xc7,0xc5,0xc2,0xbf,0xbc,0xba,0xb7,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99

,0x96,0x93,0x90,0x8d,0x89,0x86,0x83,0x80,0x80,0x7c,0x79,0x76,0x72,0x6f,0x6c,0x69,0x66,0x63,0x60,0x5d,0x5a,0x57,0x55,0x51

,0x4e,0x4c,0x48,0x45,0x43,0x40,0x3d,0x3a,0x38,0x35,0x33,0x30,0x2e,0x2b,0x29,0x27,0x25,0x22,0x20,0x1e,0x1c,0x1a,0x18,0x16

,0x15,0x13,0x11,0x10,0x0e,0x0d,0x0b,0x0a,0x09,0x08,0x07,0x06,0x05,0x04,0x03,0x02,0x02,0x01,0x00,0x00,0x00,0x00,0x00,0x00

,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02 ,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0a,0x0b,0x0d,0x0e,0x10,0x11,0x13,0x15

,0x16,0x18,0x1a,0x1c,0x1e,0x20,0x22,0x25,0x27,0x29,0x2b,0x2e,0x30,0x33,0x35,0x38,0x3a,0x3d,0x40,0x43,0x45,0x48,0x4c,0x4e

,0x51,0x55,0x57,0x5a,0x5d,0x60,0x63,0x66 ,0x69,0x6c,0x6f,0x72,0x76,0x79,0x7c,0x80 };

void display(unsigned char command)

{

unsigned char i;

LCP=0;

for(i=8;i>0;i--)

{

SCP=0;

if((command & 0x80)==0)

{

SI=0;

}

else

{

SI=1;

}

command<<=1;

SCP=1;

}

LCP=1;

}

void key1(void)

{

fun++;

if(fun==4)

fun=0x00;

}

void key2(void)

{

tl++;

if(tl==0x1f)

th++;

}

void key3(void)

{

tl--;

if(tl==0x00)

th--;

}

void key4(void)

{

double t;

int f;

TR0=0;

t=(65535-th*256-tl)*0.4;

f=(int)(1000/t);

S3=tab[f%10];

f=f/10;

S2=tab[f%10];

f=f/10;

if(f==0)

S1=0;

else

S1=tab[f];

TR0=1;

}

void key5(void)

{

tl--;

if(tl==0x00)

th++;

}

void judge(void)

{

uchar line,row,de1,de2,keym;

P1=0x0f;

keym=P1;

if(keym==0x0f)return;

for(de1=0;de1<200;de1++)

for(de2=0;de2<125;de2++){;}

P1=0x0f;

keym=P1;

if(keym==0x0f)return;

P1=0x0f;

line=P1;

P1=0xf0;

row=P1;

line=line+row; /*存放特徵鍵值*/

if(line==0xde)key1();

if(line==0x7e)key2();

if(line==0xbd)key3();

if(line==0x7d)key4();

}

void time0_int(void) interrupt 1 //中斷服務程序

{

TR0=0;

if(fun==1)

{

DA0832=tosin[b]; //正弦波

b++;

}

else if(fun==2) //鋸齒波

{

if(c<128)

DA0832=c;

else

DA0832=255-c;

c++;

}

else if(fun==3) // 方波

{

d++;

if(d<=128)

DA0832=0x00;

else

DA0832=0xff;

}

TH0=th;

TL0=tl;

TR0=1;

}

void main(void)

{

TMOD=0X01;

TR0=1;

th=0xff;

tl=0xd0;

TH0=th;

TL0=tl;

ET0=1;

EA=1;

while(1)

{

display();

judge();

}

}

五、心得體會

開始的時候由於沒有經驗,不知如何下手,所以就去圖書管找了一些書看,盡管有許多的設計方案,可是總感覺自己還是有許多的東西弄不太清楚,於是就請教同學。他常做一些設計,有一些經驗。經過他的解釋分析各方案之後,決定用查表的方法來做。這樣可以降低一些硬體設計的難度,初次設計應切合自己的水平。用8031需要擴展ROM,這樣還要進行存儲器擴展。而且現在8031實際中已經基本上不再使用,實際用的AT89S51晶元有ROM,這樣把經過采樣得到的數值製成表,利用查表來做就簡單了。我認為程序應該不大,片內ROM應該夠用的。用LED顯示頻率和幅值,現有集成的介面驅動晶元,波形可通過示波器進行顯示,單片機接上D/A轉換晶元即可,這樣硬體很快就搭好了。

我以為這些做好了,構思也有了,寫程序應該是相對容易的。誰知道,寫起程序來,才想到功能鍵要有掃描程序才行呀,我真的感到很難。那時真的有點想放棄?於是就去請教了老師,老師幫忙分析了一下,自己又查閱了一些資料,終於明白了掃描程序怎麼寫。

於是在自己的努力下,程序很快就寫好了。這次是我的第一個設計器件,盡管經歷了不少的艱辛,但給我積累了一點設計的經驗,最後也有點小小的成就感。後面的路還很長,我還的努力!

參考文獻

[1] 童詩白,華成英.模擬電子技術基礎〔M〕.北京:高等教育出版社,2003.345-362

[2] 潘永雄,沙河,劉向陽.電子線路CAD實用教程〔M〕.西安:西安電子科技大學出版社,2001.13-118.

[3] 張毅剛,彭喜源,譚曉昀,曲春波.MCS-51單片機應用設計[M].哈爾濱:哈

爾濱工業大學出版社,1997.53-61.

更多電子問題請關注我!_ceo竭誠為您解答!

9. 函數信號發生器有哪幾種波形它的輸出端能否短接還有就是交流毫伏表是否可以用來測量直流電壓的大小

函數信號發生器的波形有:三角波、矩形波、正弦波、鋸齒波、脈沖波等具有一些特定周期性(或者頻率)的時間函數波形。

函數信號發生器的輸出端可以短接,短接不會損壞機器。

交流毫伏表不能用來測量直流電壓的大小。

函數信號發生器的工作原理:

函數信號發生器系統主要由主振級、主振輸出調節電位器、電壓放大器、輸出衰減器、功率放大器、阻抗變換器和指示電壓表構成。

當輸入端輸入小信號正弦波時,該信號分兩路傳輸,一路完成整流倍壓功能,提供工作電源;另一路進入一個反相器的輸入端,完成信號放大功能。該放大信號經後級的門電路處理,變換成方波後經輸出,輸出端為可調電阻。

(9)信號發生器存儲波形擴展閱讀

信號發生器和示波器的區別:

1、嚴格來說,函數信號發生器是一個信號源,示波器是一個顯示器---接收信號源的的波形顯示器。但實際上,現在的示波器為了通用方便,也可以有簡單的波形發生器例如正弦波的。但絕對不可能具備多種波形的函數波形。


2、還有一種儀器叫綜合測試儀,那就是將兩者結合起來的儀器,也有叫做信號分析儀,它通常分通用的還是專用頻譜分析,也有將一些函數波形發生器和示波器結合起來。

10. 單片機DDS波形發生器

產生模擬信號的傳統方法是採用RC 或LC 振盪器,它們產生的信號頻率精度和穩定度都很差,後來出現了鎖相環技術,頻率精度大大提高,但是工藝復雜,分辨力不高,頻率變換和實現計算機程式控制也不方便。DDS 技術出現於二十世紀70年代,它是一種全數字頻率合成技術。完全沒有振盪元件和鎖相環,是用一連串數據流經過數模轉換器產生出一個預先設定的模擬信號。它將先進的數字信號處理理論與方法引入信號合成領域,具有以往頻率合成器難以達到的優點,如頻率轉換時間短(<=20ns)、頻率解析度高(0.01Hz)、頻率穩定度高(10-7至10-8)、輸出信號頻率和相位可快速程式控制切換等,因此可以很容易地對信號實現全數字式調制。由於DDS是數字化高密度集成電路產品,晶元體積小、功耗低,因此可以用DDS構成高性能頻率合成信號源而取代傳統頻率信號源產品。近年來DDS技術得到了飛速的發展,各種通用的DDS 晶元不斷上市,性能很好,使用簡單,價格也在不斷下降,給一般用戶使用提供了極大的方便,這里給大家介紹一款採用Analog公司的AD9835 DDS專用晶元設計的由單片機控制的合成信號源,它的主要技術指標如下:
頻率范圍:0.1Hz~10MHz
頻率解析度:0.1Hz
頻率穩定度:1×10-7
輸出幅度:0~5V可調
輸出波形:正弦波、方波(TTL電平)
輸出設定方式:數字鍵盤直接設定
顯示方式:LCD液晶顯示器
6.1 DDS原理與特點
DDS的基本結構如圖6-1所示。因為正弦波信號可以用這樣的函數來表示, y = sin(ωt) ,這是一個非線性函數。要直接合成一個正弦波信號, 首先應將函數y=sin(x)進行數字量化,然後以x 為地址,以y 為量化數據,依次存入波形存儲器。DDS 使用了相位累加技術來控制波形存儲器的地址,在每一個基準時鍾周期中,都把一個相位增量加到相位累加器的當前結果上。相位累加器的輸出即為波形存儲器的地址,通過改變相位增量即可以改變DDS 的輸出頻率值,所以基準時鍾頻率的穩定度也就是輸出頻率的穩定度。根據相位累加器輸出的地址,由波形存儲器取出波形量化數據,經過數模轉換器轉換成模擬電流,再經過運算放大器轉換成模擬電壓。由於波形數據是間斷的取樣數據,所以DDS 發生器輸出的是一個階梯正弦波形,必須經過低通濾波器將波形中所含的高次諧波濾除, 輸出即為連續的正弦波。

圖6-1 DDS原理框圖
DDS 晶元通常帶有一個幅度調節器,可以通過微處理器將幅度設定值送到DDS 晶元的相關寄存器,以產生出一個合適的信號幅度。如果要求功率輸出,則再經過功率放大器進行功率放大,最後由"輸出"埠輸出。採用直接數字合成技術(DDS)設計的信號發生器與傳統信號源相比具有其獨特的優點:
l 頻率穩定度高:頻率穩定度取決於使用的參考頻率源晶體振盪器的穩定度,一般市面上常見的廉價晶振的穩定度可以達到10-6。
l 頻率精度高:常見的DDS 晶元的頻率解析度在1/1228 ~32 。適用於高精度的計量和測試。尤其對於那些需要特別低的頻率(比如:0.0001Hz),用通常的方法是很難實現,而採用DDS 技術,可以非常容易的實現,而且精度、穩定度非常高,體積也很小。
l 無量程限制:在全部頻率范圍內頻率設定一次到位,最適合於寬頻帶系統的測試。
l 無過渡過程:頻率轉換時沒有過渡過程,信號相位和幅度真正連續無畸變,最適合於動態特性的測試。
易於控制:目前新上市的DDS 晶元大多都帶有微控制器,設計者只要增加少許外圍器件就可以製作成基於DDS 技術的高質量信號發生器,如果再增加一些智能控制可以設計出幅度、頻率、相位多方便控制的多功能信號發生器。而且性能完全可以達到高檔進口信號發生器所具有的性能,而價格可以大大節省。